見出し画像

半導体微細加工技術の変遷

現代において「半導体チップ」と呼ばれるものはシリコンなどの半導体材料を用いて作られた電子回路を指します。
半導体の上には条件によって電気を通したり通さなかったりするトランジスタ、電荷を蓄積するキャパシタなどの素子があり、それらを結ぶ配線を施すことで電気信号を条件分岐できる論理ゲート回路を形成し情報処理や情報の保存ができる機能が与えられています。
このような半導体チップはスマホやパソコンなどの身近な製品に組み込まれ、私たちの生活に欠かせない存在となっています。

それらを製造するには以下に示すような工程を厳密にコントロールして設計図された回路を半導体基板(ウェハ)上に形成して製品化する必要があります。

半導体製造プロセス技術
・前工程
洗浄:ウェット洗浄、ドライ洗浄
熱処理:熱酸化、アニール
不純物導入:イオン打ち込み、熱拡散、プラズマドーピング
薄膜形成:CVD、PVD、塗布、メッキ法
フォトリソグラフィ:フォトレジスト処理、露光
エッジング:ウェットエッチング、ドライエッチング、アッシング
平坦化:CMP、エッチバック

・後工程
ダイシング
ワイヤボンティング
モールディング
検査

半導体ウェハ製造業者から搬入された材料ウェハはこれらの設備を備える製造工場で加工されます。
前工程では厳密な管理が必要な工程の連続であり、外部からの異物の混入や汚染を嫌う為、一貫製造できるように製造ラインが構築されていますが、ダイシングで切り出し以降の工程はそれぞれ専門とする工場に引き渡され加工される事もあります。

前工程のフォトレジストとエッジングはウェハ上に素子や回路を形成するプロセスそのもので半導体製造における中枢工程であり、その装置と運用コントロールのノウハウがチップの性能と価値を決定づけています。

そのため次世代半導体に対する研究開発のリソースの多くがリソグラフィ分野に占められています。
リソグラフィに用いられる露光装置は装置の単価、生産規模ともに上昇し2030年にはリソグラフィ露光装置市場は600億ドル(約8兆円)に達するという試算もあります。

フォトリソグラフィ

原理としては銀塩写真の現像の要領で、薄膜を形成してある半導体素材円盤(ウェハ)に特定の波長の光で硬化、または溶解する感光材(フォトレジスト)を均一に塗布しておき、造形したい回路パターン(もしくはその逆パターン)を切り抜いたマスク(レチクル)を通した光源からの光を照射して「回路」の潜像を作り、硬化処理した後に硬化されなかった不要なフォトレジストを洗浄、乾燥した後に取り除かれた部分をガスや薬液で形状加工するエッジングを施して不要になった部分を洗い流し、必要な回路を形成するまでその上に同様の工程を繰り返して積層していくものです。

近年は作業の専門性や高度化によって素子を作り込む前工程「FEOL」、前工程で造りこまれた素子間を配線する後工程「BEOL」に分けられる事があります。

初期には超高圧水銀灯を光源としてウェハ全面に一度でマスクの回路パターンを転写していましたが高性能化、コスト低減などにより求められるチップに造り込む回路が微細になるにつれ転写の解像度を上げていく必要が出てきました。

それまで様々なパターンの重ね合わせにおいて位置合わせ精度を高めるために回路パターンを写し取ったマスク(レチクル)を塗布したフォトレジストに密着させていたコンタクト露光を止め、20μmほどの微妙な隙間を空けてやるプロキシミティ露光では非接触となったマスク(レチクル)の寿命が延び、フォトレジストの損傷も減らせて歩留まり向上にもなりました。

このプロキシミティ露光では露光光線はスリットを抜けた時に生じる回折現象が解像度に影響する事から、光源を完全な平行光とせず、ごく微妙な角度(2~3度)を付ける事でこれを軽減させています。

次に凹面鏡と凸面鏡を複数枚組み合わせる鏡面反射を利用したミラープロジェクション露光が考案されました。

ミラー反射系では、それまでのレンズを通す屈折系で起きていた色収差の問題が発生しない事がメリットとされました。

また単純な光源からの照射よりリング状光源の円弧の一部を用い、それをスライド照射させる方が良く解像する事から光源形状の研究も進みます。

この照射領域の制限とウェハの双方を同期して動かす事により照射面積を拡大する装置も考案されました。

これまでのマスク(レチクル)をフォトレジストに接触させない、光源を分離して光学系を駆動して露光する走査露光などの技術開発や発見が次の技術的ブレイクスルーの下地にもなります。

・主要装置メーカー
ASML(蘭)
キヤノン株式会社(日)
株式会社ニコン(日)

フォトレジスト

レジスト剤はマスク(レチクル)の形をウェハ上に転写するための高分子感光剤で特定波長の光を受けて感光すると溶解するポジ型と、感光した部分が溶解しないで残るネガ型があり、処理に応じて使い分けられています。

特定波長だけに良好に感光する感度、溶解と硬化部分の境がシャープさを保つ解像度、ドライエッチングに対する耐性が重視されます。

波長は光源に応じて決まるため、新しい光源が導入される度に最適な素材が開発されました。
初期の露光では第二次世界大戦中に発見されていた感光材料を流用したものが使われていましたが、光源の変遷に合わせて新しいフォトレジストが必要となり、研究が進むにつれ分子が示す光吸収の波長依存などか解明されました。

高分子の溶解プロセスは感光部分で酸発生剤の作用で生じる酸によるとされ、ネガ型では感光部分で起きる架橋という分子の結びつきを利用したものですが、現像に際して薬液への耐性も同時に求められますがそれぞれが相矛盾する作用を両立させるものでもあり、材料設計には細心の注意が払われています。

・主要レジストメーカー
JSR株式会社(日)
東京応化工業株式会社(日)
信越化学工業株式会社(日)

ステッパとスキャナ

等倍露光系では更なる高解像度化の要望に対応できなくなってきた事から新たな露光装置が必要になりました。

マスク(レチクル)をレンズで縮小投影する場合、ウェハ全体を露光する事は出来ないため、ある部分を露光したら次のエリアに移動してまた照射を繰り返すステップ&リピート技術はマスク(レチクル)を作成する際の分割手法を応用したもので現在のステッパの原形となる自動処理されたステッパは1978年にアメリカのGCA社から製品化されました。

この頃から光源も超高圧水銀灯のg線(436nm)からi線(365nm)へ移行していきます。

解像度(ハーフピッチ)は光学系の開口率(Numerical Aperture NA)に反比例して露光の波長に比例し、微細化の限界は波長の2倍の線幅までと言われていた事から光源の短波長化と同時に光学系の高NA化(HiNA)が追及されてきました。

NA0.33の露光装置に対してNAを0.55に上げやる事が出来ればハーフピッチ(線幅と間隔)を0.6倍に縮小するのと同じ効果が見込めます。

高NA化ではDoF(Depth of Focus 被写界深度)の向上、つまりピントがよりっしっかりと合わせられるようになります。

しかしDoFが狭くなる、つまりピントが合う距離が狭くなる事から感光するフォトレジストをそれまで以上に薄く均一に塗布する技術やウェハを桁違いでそれまで以上に平坦に加工するCMP(Chemical Mechanical Polishing)工程、距離測定や位置調整の精度向上などの技術開発も高NA化を目指すスキャナの機能を担保するための要素技術として同時に求められました。

しかし高NA化により色収差などの問題が顕著になり、非球面レンズなどの高度な光学系設計が必要となり、また光源によってはレンズ自体が吸収して熱を持って歪んでしまう事からレンズに使用できる素材も光源に対応した物しか許容されないなど制約が多くなります。

液浸とダブルパターニング

微細化が進み、短波長化を追求し次世代を担うと目されていた露光光源であるF2レーザー(157nm)がレンズ、レジストなどの要素技術の開発の難航もあって従来の技術改良では物理的な制約などからこれ以上の微細化は難しくなりました。

そこで実用化されていたArFエキシマレーザー(193nm)の技術の改良として「液浸(液体浸漬)」導入が模索されました。
これはワークとなるウェハと光学系の間を純水で満たし、その屈折率(1.44)を利用して更なる高解像を目指すものです。

液浸自体は光学顕微鏡などでは既に一般的な技術ですが、半導体製造への導入では異物の混入を嫌う性質を加味したウェハ表面を疎水加工するコーティング剤、投影系のレンズの純水を保持する技術といった比較的容易な装置改良によって実用化する事ができ、実質的な波長は134nm相当となり37nmまでの解像度を得る事が出来たと言われます。

しかし基礎的な技術の限界は既に迎えていたため、それ以上の解像度を得るためにダブルパターニングという「禁じ手」も考案されました。

ダブルパターニングは「二重露光」とも訳されますが、一回目の露光現像の後に違うレジストを塗布して別のパターンを重ねて露光する「LELE」(Litho-Etch Litho-Etch)の他、一回目の露光プロセスで造ったレジストの上からCVD(化学気相成長)で重ねて薄膜を作り、表面の平坦化と一回目の露光で残っているレジストを除去して形成された膜厚をマスクパターンとする「サイドウォールプロセス」と高解像化処理でそれまでの倍の20nm程度の密度を稼ぐ「SADP」(Self Aligned Double Patterning)があります。

更にダブルパターニングを繰り返して4倍の密度でパターンを形成する「SAQP」(Self-Aligned Quadrable Patterning)では10/7nmの加工が可能となりました。

しかしこれらの手法は加工を重ねる為、解像がぼやけがちである上、何度も工程を繰り返す事で設計から全てのプロセスを含めたコスト試算ではArF液浸を1とすると液浸SADPでは2倍、SAQPでは4倍に近いコストが必要となり生産性(スループット)の悪化も問題となりました。


EUV露光技術(X線縮小投影露光技術)

現在最先端の露光工程で用いられるEUV露光装置はその光源の特性による制約を受けています。

短波長を求めて軟X線の領域にまで達した光源ですが、この領域では光線は物体に吸収されるため、それ以前のようにレンズでマスク画像を縮小させる屈折光学系で構成するのは困難でした。

その為、ミラーを用いた反射光学が用いられました。
しかしそれもモリブデンとシリコンをミクロン単位で100層交互にコーディングした多層反射幕でなければ実用的な反射を得られない事から多層幕に対して13.5nmという波長付近で良好な反射特性を示す光源になり得るターゲット素材が求められました。

毒性が無い、飛散物(デブリ)が少ない等の様々な素材の可能性が研究されましたが現在は錫(Sn)が用いられた露光装置が製造現場に配置されています。

EUVの実用的な光源は高温にして液化させた錫(Sn)の小滴を凹面鏡の上でCO2レーザーを二回照射して励起させて約50万℃のプラズマを一秒間に50000回発生させる途方もない技術で実現しています。

錫(Sn)は常温では固体であるなど理想の素材ではないためキセノン(Xe)も研究されましたが、必要とされる特性を優先して飛散物(デブリ)も光源に反応しないガスを用いて回収するなど実用化に向けた幾多の要素技術が開発されました。

それでも反射率が70%となり6枚のミラーを介するとフォトレジスト上には光源の1割しか到達せず、強力な光量を得るため莫大な電力を消費しています。

マスク(レチクル)も完全に遮蔽する多層膜を無欠陥で作る事が求められます。特に石英基板の平坦精度がマスクパターンの遮蔽制度に影響を及ぼす割合が大きいことから従前よりも桁違いでの平面が必要になりました。

困難を極めたEUV露光技術はパターンの重ね合わせ機能を有しNAが0.25という実用を視野にいれた本格的な装置が2006年にオランダのASML社のAlpha Demo機、2007年のNikon EUV1が登場し本格的なEUV露光技術の評価が始まりました。

それまで半導体のフィールド露光装置で優位を占めていたキヤノンとニコンは諸事情でEUV露光装置の開発から撤退し、アメリカの国立研究所や欧州の研究機関iMecとの協力関係、カリフォルニアのサイマー、ドイツのツァイス社等の高度な技術の結集、Intel、Samsung電子、TSMCなどの有力なユーザー企業からの資金援助を得て約30年もの歳月を費やしてようやく実用的なフィールド露光装置を市場に送り出す事が出来たASMLは最先端リソグラフィの露光装置の分野でシェアの100%独占するに至りました。

エッチング

リソグラフィで準備が整ったウェハ表面を加工する技術にエッチングがあります。
元は凹版画の蝕刻法のように酸などのエッチング液の腐食作用でマスクされていない部分を除去していましたが、微細化が進むとエッチング液がフォトレジストを膨張させてしまうなど精度が問題になった事から腐食液を使用しないドライエッチングに移行していきます。

ドライエッチングはガスをプラズマ化して化学反応と加速したイオンで不要な膜を削って除去するエッチング方法などがあります。

代表的なRIE法で重視されるのは浸食していく速度ですが、反応が速ければ良いとばかりに高密度の高温プラズマを使うとウェハを損傷してしまうため低温(常温)プラズマが用いられています。

照射するマイクロ波のエネルギーが低い事はレジストパターンへの攻撃性が低くなると同時にプラズマ中でイオンや電子が衝突する確率が減る事を意味しており、充分な高さから導入される事によってウェハ表面に衝突する時に縦方向の作用が中心になる事も大きなメリットであり、保護薄膜を併用するなどの技術の併用で厚みのある絶縁膜を貫通する縦孔を掘り進める精度を持つ異方性エッチングも可能となりました。

これらの実現には作用させすぎればゲートなどが十分な厚みが確保できずリーク電流などの問題が起きる事から最短で処理できる出力制御や温度管理など極めて高度なオペレーションが求められるもので、ウェハの大口径化も相まって全面をいかに均一に加工できるかが半導体チップの歩留まりや製品の信頼性を決定づける重要な要素となっています。

ドライエッチングでは加工に伴う様々なダメージを克服する工夫も考案されています。

ゲートを形成する酸化被膜などではプラズマ処理中のストレス電流などによって破壊が起き、その影響は時間に比例する事が突き止められたことから、アンテナとなってしまう配線を長くできない事が分かりましたが、これは微細化が進むと相対的に配線が長くなってしまう事から問題となりました。

そのため保護ダイオートを配置してウェハ基板にストレス電流を分散させたり、配線を途中から別の層に接続して一つの階層中で配線が長くなり過ぎないようにするなどのデザインルールでの対策もその一つです。

・主要エッチング装置メーカー
ラムリサーチ(米)
東京エレクトロン株式会社(日)
アプライドマテリアルズ(米)


その他のリソグラフィ技術:直接描画法

光の代わりに電子線でレジストにパターンの潜像を造り込む電子線リソグラフィも研究されました。

走査型電子顕微鏡のように電子ビームを移動させて回路パターンを原子のレベルで造り込む「集束電子ビーム露光技術」と光源を電子線に置き換える概念の「電子線投影露光技術」に大別されます。

電子ビームを操作して回路を描くのは微細化により再現すべきパターンが長大になり時間が掛かるため生産性の悪化を招く問題がありました。
また光を用いフォトレジストの化学反応を促すのと違ってエネルギーを送り込む為、レジスト内にエネルギーが拡散する現象によって回路が密な部分においてエネルギーの蓄積によって潜像がぼやけてしまう問題がありました。

高解像度化はEUV露光に移行したため電子線リソグラフィは主流とは見なされなくなりましたがマスク(レチクル)を作成する工程では電子ビームでパターンを描画する手法が使われています。


その他のリソグラフィ技術:ナノインプリント

フォトレジストにマスクパターンの型を押し付けて成型する手法としてナノインプリントがあり、押し付けている間に加熱してレジストを固める熱式と紫外線を照射して固める光式があります。

メリットとしては製造での消費電力を低く抑える事が出来るという事があります。
最新のEUV露光(3nm)では300mmウェハ一倍あたり1400kWh/w、2nm世代では1700kWh/wもの電力を使用する試算がありますがナノインプリントではこれを1/10程度に抑える事が出来るようになると期待されます。

問題点としては接触式になるため消耗品となるマスクの欠陥や異物の付着が全てのウェハに転写されてしまう事があります。

また重ね合わせ精度も光露光装置以上にシビアになります。

そしてパターン一つを転写する速度は、レジストの塗布→密着→固定化→剥離と言う工程を経る都合で従来の光露光より処理能力は低いとされます。

微細化も物理現象の制約で際限ない進化は困難と見られています。

しかし、これらの技術は半導体以外においてもバイオテクノロジーなどの他分野へのスピンオフも期待できる事や、今は実用的ではなくても、要素技術の発達などで将来に有望な技術となりうる可能性もある事から研究開発が続けられています。


参考資料

書籍
はじめての半導体リソグラフィ技術 (現場の即戦力) 岡崎 信次

初心者にも分かりやすくリソグラフィ全般を解説したシリーズ本


改訂版 はじめての半導体ドライエッチング技術 (現場の即戦力) 野尻一男

初心者にも分かりやすくドライエッチング全般を解説したシリーズ本

はじめての半導体プロセス (現場の即戦力) 前田 和夫

初心者にも分かりやすく半導体プロセス全般を解説したシリーズ本

半導体工場のすべて 菊池 正典

半導体製造工程全般が解説されている

半導体戦争 世界最重要テクノロジーをめぐる国家間の攻防 クリス・ミラー (著), 千葉 敏生 (翻訳)

アメリカ視点から見た半導体史


・Web資料
参照項目が部分的、かつ多岐にわたるため本文中でリンクしてあります。

この記事が気に入ったらサポートをしてみませんか?