見出し画像

TSMCの技術力とは

半導体製造大手の台湾積体電路製造(TSMC)が世界経済に大きな影響力を持つに至り、日本への工場進出もあり良くも悪くも注目されています。

その強さの源泉は何処にあるのでしょうか。

・TSMCの業態

半導体の製造では自社で設計から製造、商品化までの全てを行う垂直統合型企業と、工場を持たず設計だけを行うファブレス企業とそこから実際の製品になる半導体チップの製造を請け負う工場だけのファウンドリ企業、テストやパッケージングの後工程などがあります。

企業によって垂直統合でありつつ他社から製造も請け負う場合や設計やパッケージングは自社で行いチップ製造工程だけをアウトソーシングする場合もありますが、大きくは垂直統合か水平分業のどちらかに大別されます。

TSMCは半導体製造請負ファウンドリ企業として最も成功をおさめ、半導体業界をリードする企業として知られています。
それだけにTSMCの技術が中国に漏れたら大変だ、というように心配する声も多い様に思います。

まずTSMCのような完全ファウンドリ企業の強みは機密性が高い、という事が挙げられます。
垂直統合企業の製造部門に他社が製造を委託すると実際の回路の設計やその製品アイデアがライバルにもなり得る垂直統合企業側に露見する恐れがあり、漏洩に繋がらなくてもその半導体製品の可能性を見抜かれて買取りなどになると委託した企業は設計費用を回収した程度で、その製品の売り上げによる利益見込みは諦める事になるなど対等な関係とは言い難かったのですが、設計や販売に関与しないファウンドリ企業の登場によってこのライバル企業に依存しなければならない事に起因する懸念は払しょくされたと言えます。

また、垂直統合型企業に製造委託する場合、工場側の装置に最適化する必要が生じる事もありますが、工場が次世代の製造プロセス導入時に装置を入れ換えたりすれば、そこまで最新プロセスを必要としない製品を委託するにしてもブロセスの隔たりによっては対応する素材が違っていたりすれば、それは再設計で対応したりとレガシーな設計資産がそのまま活かせないのも悩みの種でしたがファウンドリ企業は新ブロセス導入に際しては既存の工場は基本的には維持しつつ新プロセスには新工場を建設するのが常なので、最新世代からから成熟したレガシー世代まで選べることで発注する側にコストや性能面で自由度が増すことになりました。

何より、垂直統合型企業に製造委託するとなると繁忙期に限って製造ラインが製造を請ける企業側の製品が優先されスケジュールが埋まっていることから発注側にとっては生産調整が難しく、製品の出荷遅延よようなことが起きていましたのでファウンドリという事業形態は半導体業界でも歓迎され定着していきました。

また顧客の機密を漏らさないという信用関係こそ商売の肝であるファウンドリ企業は顧客ごとにデータサーバーを分離するなど機密漏洩対策にも力を入れており、その徹底ぶりはアメリカのハイテク半導体関連対中輸出規制に際し、半導体各社に取引情報の開示が求められましたがTSMCやSamsung電子は当初はこれを拒んだ事が伝えられ、たとえ国家相手でも顧客情報を易々とは明かさない姿勢が示されました。

また現在の半導体製造は関連企業のサプライチェーンが世界中に広がっており、他社にない技術力を要している寡占的な企業に依存しており、それらを取りまとめる事から地球上で最も複雑な製造業と言われ、TSMCが新工場を建設するにしても個別の要件でうまく行かない場合があり、ましてや設備や原材料仕入先も違う他社が真似をしたコピーイグザクトリだけで似たような工場を作ったとしても「歩留まり」はいつまでも改善せず先行者利益が大きな比率を占めてしまう半導体事業は継続が困難である事が予想されます。

・半導体製造工場の歩留まり問題

半導体の製造は原材料となるシリコンなどの半導体ウェハを製造装置に投入してから表面に回路を形成するためにガスや放電で薄膜を形成した上に均一に感光薬液を塗布し回路パターンを露光装置で形成、回路以外の部分を削り落として余分な薬液を洗浄して乾燥させ、イオン化など回路パターンを形成に必要な回数繰り返す数百(最先端では1000とも言われる)工程を経て製造されており、それから良品のみを選別したものを後工程でパッケージングした物が我々が電子回路基板上で良く見る半導体製品となるため、いかにして各工程のそれぞれの段階で不良率を下げるかがの技術とノウハウの積み重ねが肝心となります。

特にTSMCの手掛ける最先端半導体では常に生産効率化と次世代研究への莫大な研究開発投資のため、早期に高収益を上げ、継続する事が事業継続の為には必要になります。

半導体製造で歩留まりが上がらない原因には
・デバイス設計に起因するもの
・使用原材料に起因するもの
・環境など外的要因に起因するもの
・新プロセス移行期のトラブル
・人為的ミスや決められた手順の無視

などがあります。
設計に起因するものとしては回路の取り回し方は電気的特性を考慮するがありますが、特に微細化が進み高速化によってもタイミングに影響する回路設計は、電気特性をコントロールするアナログ半導体だけでなく、タイミングに影響するデジタル半導体であっても、そのデバイが使用される使用環境も含め完全に動作するように考慮されているもので、以前は設計者の技量が発揮される分野とされしたが近年は動作検証されているIPライブラリ化が進んだことで属人的な要素による影響は少なくなってきていると言われています。

TSMCは自社工場での生産に最適な設計ソフトや管理ツールを顧客に展開する事で迅速化に加え、顧客の囲い込みにも役立てているようです。

原材料の問題は、薬液の希釈度が環境要因によってごくごく微妙に変化した事で製品歩留まりが変化したり、またシリコンウェハを製造している工場で設備の入れ替えがあったために金属汚染が起き製品の電気特性が影響を受けた、などトラブルシューティングの範囲が工場外に及ぶ事もあるようです。

環境要因は安定して操業出来ていたのに台風の接近による気圧変化が装置に影響を与えたり塩害が空調に影響したため歩留まりが悪化した、とか工場の近隣の在来線の運航ダイヤが変わり列車往来の速度変化による微振動が影響したなど、これも特定や再現性が困難を極める事もあります。

また新プロセスへの移行は新たな装置でノウハウの構築が必要となり、検査結果のバラつき傾向から予測される統計的な手法による不具合原因の絞りこみにはより多くの可能性を考慮する必要が出てきます。

日本企業のような従来手法ではある程度条件を決めてテストをしたりして装置に指定する最適な値を探り出し、それらは「レシピ」と呼んだりしますが、TSMCの場合、2018年にEUV露光装置を稼働させる際は7~8台のEUV装置に毎月6~8万枚のウェハを投入して年間量に換算するとすると100万枚相当のテスト生産を繰り返し、そこから得られた膨大なデータを6σ(数100万分の幾つ)というレベルの統計学的な標準偏差をAI解析から条件を探り出すと言うある種の「力技」を行っているとされており、これには莫大なコストが生じるもので他社では容易に真似ができない手法であり、これがTSMCの他社に比べて短期間で生産を安定化させる強みにもなっているようです。

この為、TSMCでは新規の工場立ち上げばかりではなく80%とも伝えられる驚異的な歩留まりを実現しており、短期に高収益製造が立ち上がると言う事は最先端半導体製造には欠かせない要件となってきています。

またプロセス移行期には製造ラインの一部を検証ラインに供して装置メーカーと共に改善に取り組んでいるのも強みと言えそうです。

製造装置メーカーでも自社に独自の検証ラインを構築していたりしますが欠陥検査など実際の製造工程はやはりデバイスメーカーの工場にあり、開発チームには薬液などの材料製造企業も多く加わっているため、まとまったフィードバックを得られることが製造装置メーカーにとってもメリットにもなり相互依存の関係が進んでいる様子が伺えます。

これはかつて垂直統合型企業側が改善点や要望を装置メーカーに伝達すると言う流れが多く、この形態では実際のノウハウが垂直統合企業側に蓄積されており製造装置メーカーの開発力にあまり寄与しなかった時期があり、ファウンドリ展開で装置メーカーが工場と協力してノウハウ蓄積の機会が訪れた事で装置メーカー側の開発力アップにつながっていると言えます。

もっとも、これもTSMCによる製造装置メーカーの囲い込みになって「TSMC-ASML連合」に有利に働いているという指摘もあります。

・ASMLとの関係

TSMCの立ち上げ期で慎重な姿勢の台湾地場資本などから資本参加が低調で創業者のモリス・チャン氏が個人の人脈などを駆使して出資を募り、台湾政府出資 48.3%、民間出資 24.2%、外資のフィリップス 27.5%の出資比率となる13.775億台湾ドルのスタートした事は知られています。

半導体露光装置の世界シェア80%を誇るオランダのASML社は1984年にフィリップス社とASMインターナショナル社50:50で出資する形でスタートした企業です。

フィリップスはTSMC立ち上げに際し生産技術移転や知的財産のライセンス供与もしていた事や、日本の半導体露光装置メーカーのシェア拡大を防ぎたかったアメリカがオランダ企業であるASMLと協力体制を構築しており、TSMCとASMLは技術的な関係を深め、最大顧客の注文にばかり熱心に取り組んでいた日本勢に対て、多種多様なチップを製造するTSMCの知見がASMLの露光装置開発にも貢献したとする見方もあります。

このように今やASMLに限らずTSMCを中心とした半導体サプライチェーンに多くの関連企業が加わりTSMC自身の積極投資も功を奏し産業蓄積効果によって規模も技術力も、そして業界での存在感も他に大きな差をつける現状に繋がっているようです。

・まとめ

顧客に対して信頼感や利便性を提供する工夫が顧客獲得に作用している。

最先端の高収益製品による高収益が最先端開発のサイクルを維持している。

TSMCの「技術力」は建研だけに依存しない桁違いのデータ解析力であり他社がこれを模倣、追従するのは難しい。

世界中の先進的な素材、製造装置メーカーと協力する開発体制によりTSMCを中心とするウィンウィンの関係になるサプライチェーンが出来上がっている。

・参考書籍

半導体戦争 世界最重要テクノロジーをめぐる国家間の攻防 クリス・ミラー (著)、 千葉敏生 (翻訳)

戦略物資と認識されるようになった半導体をアメリカ視点から俯瞰する。

半導体工場のすべて 菊地正典 (著)

装置産業であり技術の蓄積でミクロの世界からマクロ経済を動かす半導体製造の実際を解説。

・web資料
「SEMICON West 2016」、半導体露光技術の進化を振り返る(完結編その2)

なぜ,TSMCが世界最大のファウンドリーになったのか 朝元 照雄

半導体デバイスの歩留まり目標、なぜ不良品を前提にする? パワーデバイス・イネーブリング協会

半導体の欠陥検出から見る歩留まり向上手法
先進的チップの欠陥検出・修正には新しいプレイブックが必要 
Applied Materials

半導体の歩留りが低下、不純物混入の意外な経路とは… Martin DeLateur、EDN

吉川明日論の半導体放談
半導体の厄介な問題、歩留りにみたローカル線との奇妙な関係 吉川明日論

歩留まり低下に影響?!半導体製造洗浄・エッチングプロセスにおける薬液濃度変化が起こる原因とは? HORIBA 水・液体計測

ニコンやキヤノンがEUV露光装置の開発を諦めた理由まとめ

台湾TSMC・蘭ASML 半導体2強、進む技術支配 - 日本経済新聞

TSMC から見る台湾半導体産業の新たな可能性について 呉 嘉鎮http://wwwbiz.meijo-u.ac.jp/SEBM/ronso/no21_34/05_WU.pdf

ナノデバイス量産に向けた極端紫外線 リソグラフィ技術の開発 木下 博雄https://www.jstage.jst.go.jp/article/oubutsu/81/5/81_391/_pdf

半導体R&D システムのオープン化:F2リソグラフィ事例に学ぶ 東川 巌
WP13-10Higashikawa.pdf




この記事が気に入ったらサポートをしてみませんか?